POMOCY przy VHDL



Masz problem? Zapytaj na forum elektroda.pl

Poprzedni Następny
Wiadomość
Spis treści
From: "Pawel" <pawelkuz_at_nospam_polbox.com>
Subject: POMOCY przy VHDL
Date: Sun, 23 Jan 2000 14:22:30 GMT


Mam ogromna prosbe potrzebuje jakis nieskomplikowany uklad zaprojektowany w
VHDL. Jezeli ktos zna to srodowisko to PLIS niech mi przesle cos na priva
wraz z opisem dzialania

No chyba ze ktos zna jakies linki do przykladow w tym srodowisku!

Potrzebuje to na projekt z uczelni na zaliczenia