Z ograniczeniami funkcji agregującej w Max+PlusII - wszystkie wersje czy tylko free?

vhdl - funkcja agregujaca w Max 10.1





Poprzedni Następny
Wiadomość
Spis treści
From: Ireneusz Niemczyk <i.niemczyk_at_nospam_multispedytor.com.pl>
Subject: vhdl - funkcja agregujaca w Max 10.1
Date: Thu, 06 Feb 2003 12:14:32 +0100


Czy wszystkie wersje Max+PlusII nie implementuja funkcji agregujšcej czy
tylko free ma takie ograniczenie? (aggregate is unsupported)

Jak w takim razie poskładać z kilku wejść magistralę?

--
Pzd, Irek.N.



========
Path: news-archive.icm.edu.pl!pingwin.icm.edu.pl!news.icm.edu.pl!news.atman.pl!newsfeed.gazeta.pl!newsfeed.tpinternet.pl!atlantis.news.tpi.pl!news.tpi.pl!not-for-mai

Poprzedni Następny
Wiadomość
Spis treści
From: Marcin E. Hamerla <mehamerla_at_nospam_pro.onet.pl>
Subject: Re: vhdl - funkcja agregujaca w Max 10.1
Date: Thu, 06 Feb 2003 12:18:04 +0100


Ireneusz Niemczyk napisal(a):

Czy wszystkie wersje Max+PlusII nie implementuja funkcji agregujšcej czy
tylko free ma takie ograniczenie? (aggregate is unsupported)

Jak w takim razie poskładać z kilku wejść magistralę?

VHDL w bezplatnym MaxPlus?

--
Pozdrowienia, Marcin E. Hamerla

"Płoń, płoń, płoń parlamencie, spali Cię ogień na historii zakręcie."

========
Path: news-archive.icm.edu.pl!pingwin.icm.edu.pl!news.icm.edu.pl!mimuw.edu.pl!news.mimuw.edu.pl!news.internetia.pl!news.ipartners.pl!not-for-mai

Poprzedni Następny
Wiadomość
Spis treści
From: Ireneusz Niemczyk <i.niemczyk_at_nospam_multispedytor.com.pl>
Subject: Re: vhdl - funkcja agregujaca w Max 10.1
Date: Thu, 06 Feb 2003 12:25:49 +0100


VHDL w bezplatnym MaxPlus?

Wiesz, eeee....nie o takš odpowiedź mi chodziło ;-) ale nic, będę się bronił

Miłego dnia
--
Pzd, Irek.N.


========
Path: news-archive.icm.edu.pl!pingwin.icm.edu.pl!news.icm.edu.pl!mimuw.edu.pl!news.mimuw.edu.pl!newsfeed.tpinternet.pl!atlantis.news.tpi.pl!news.tpi.pl!not-for-mai

Poprzedni Następny
Wiadomość
Spis treści
From: Marcin E. Hamerla <mehamerla_at_nospam_pro.onet.pl>
Subject: Re: vhdl - funkcja agregujaca w Max 10.1
Date: Thu, 06 Feb 2003 12:26:14 +0100


Ireneusz Niemczyk napisal(a):

VHDL w bezplatnym MaxPlus?

Wiesz, eeee....nie o takš odpowiedź mi chodziło ;-)

Wolalem sie upewnic zanim sie zaczne madrzyc, bo ja akurat znam i
uzywam tylko AHDL.

ale nic, będę się bronił - students? :-)

Ok.

--
Pozdrowienia, Marcin E. Hamerla

"Płoń, płoń, płoń parlamencie, spali Cię ogień na historii zakręcie."

========
Path: news-archive.icm.edu.pl!pingwin.icm.edu.pl!news.icm.edu.pl!news.atman.pl!newsfeed.gazeta.pl!news.ipartners.pl!not-for-mai

Poprzedni Następny
Wiadomość
Spis treści
From: Ireneusz Niemczyk <i.niemczyk_at_nospam_multispedytor.com.pl>
Subject: Re: vhdl - funkcja agregujaca w Max 10.1
Date: Thu, 06 Feb 2003 12:52:08 +0100


Wolalem sie upewnic zanim sie zaczne madrzyc, bo ja akurat znam i
uzywam tylko AHDL.

Szkoda, bo utknšlem :-(

--
Pzd, Irek.N.


========
Path: news-archive.icm.edu.pl!pingwin.icm.edu.pl!news.icm.edu.pl!mimuw.edu.pl!news.mimuw.edu.pl!newsfeed.tpinternet.pl!atlantis.news.tpi.pl!news.tpi.pl!not-for-mai

Poprzedni Następny
Wiadomość
Spis treści
From: "Piotr Zbysinski, EP \(H\)" <piotr.zbysinski_at_nospam_ep.com.pl>
Subject: Re: vhdl - funkcja agregujaca w Max 10.1
Date: Thu, 6 Feb 2003 18:47:01 +0100


Czy wszystkie wersje Max+PlusII nie implementuja funkcji agregujšcej czy
tylko free ma takie ograniczenie? (aggregate is unsupported)

Jak w takim razie poskładać z kilku wejść magistralę?

--
> Pzd, Irek.N.
>
>

Np. outp <= outp(4 downto 0) & outp(5);
Pzdr
PZb





========
Path: news-archive.icm.edu.pl!agh.edu.pl!news.agh.edu.pl!news.onet.pl!lublin.pl!news.icm.edu.pl!not-for-mai

Poprzedni Następny
Wiadomość
Spis treści
From: Ireneusz Niemczyk <NOSPAM_i.niemczyk_at_nospam_multispedytor.com.pl>
Subject: Re: vhdl - funkcja agregujaca w Max 10.1
Date: Thu, 06 Feb 2003 20:59:03 +0100


Np. outp <= outp(4 downto 0) & outp(5);

Rozumiem, ale w takim razie nieprecyzyjnie zapytałem. Mam magistralę (signal -
wyjscie wielobitowego licznika) i chciał bym aby w liście czułości pewnego
procesu była jakaś linia z tej magistrali.
Za mocno kombinuje? ;-)

Miłego wieczoru.
--
PZD, Irek.N.



========
Path: news-archive.icm.edu.pl!news.rmf.pl!news.ipartners.pl!newsfeed.gazeta.pl!newsfeed.tpinternet.pl!atlantis.news.tpi.pl!news.tpi.pl!not-for-mai

Poprzedni Następny
Wiadomość
Spis treści
From: "Piotr Zbysinski, EP \(H\)" <piotr.zbysinski_at_nospam_ep.com.pl>
Subject: Re: vhdl - funkcja agregujaca w Max 10.1
Date: Thu, 6 Feb 2003 22:16:15 +0100



Użytkownik "Ireneusz Niemczyk" <NOSPAM_i.niemczyk_at_nospam_multispedytor.com.pl>
napisał w wiadomości news:3E42BE87.8A66BCE_at_nospam_multispedytor.com.pl...
Np. outp <= outp(4 downto 0) & outp(5);

Rozumiem, ale w takim razie nieprecyzyjnie zapytałem. Mam magistralę
(signal -
wyjscie wielobitowego licznika) i chciał bym aby w liście czułości pewnego
procesu była jakaś linia z tej magistrali.
Za mocno kombinuje? ;-)

To piszesz
process (a, b, c, dana(x)) -- gdzie dana jest przykladowa nazwa Twojej
magistrali, a (x) numerem "wyjmowanego" bitu.
Ale to nie jest agregacja ;-)
Pzdr
PZb


Miłego wieczoru.
--
> PZD, Irek.N.
>
>



========
Path: news-archive.icm.edu.pl!pingwin.icm.edu.pl!news.icm.edu.pl!news.atman.pl!wsisiz.edu.pl!news2.icm.edu.pl!news.ipartners.pl!not-for-mai

Poprzedni Następny
Wiadomość
Spis treści
From: Ireneusz Niemczyk <i.niemczyk_at_nospam_multispedytor.com.pl>
Subject: Re: vhdl - funkcja agregujaca w Max 10.1
Date: Fri, 07 Feb 2003 10:23:53 +0100



--------------EA927F43F4A5CC303EC948A6
Content-Type: text/plain; charset=iso-8859-2
Content-Transfer-Encoding: 8bit

To piszesz
process (a, b, c, dana(x)) -- gdzie dana jest przykladowa nazwa Twojej
magistrali, a (x) numerem "wyjmowanego" bitu.

A już ci że próbowałem ;-)
ale dalej mam event attribute not supported for bit of multi-bit signal :-(

Ale to nie jest agregacja ;-)

Tak wiem, ale agragacjš chciałem to _obejść_. Może niefajny sposób, ale liczyłem
na sukces ;-)

Dobra, poczštkujšcy wiele nie wymyśli, w ksišżkach sš ślicznie opisane
primitiwy ale ich łšczenie już nie. Jak w takim razie napisać licznik np: 2 x
4 bity?

Mam tak:
clk,res : in std_logic;
q_a : buffer std_logic_vector (3 downto 0);

process(clk,res) begin
if (res = '1') then
q_a <= "0000";
elsif clk='1' and clk'event then
if (q_a="1001") then
q_a <= "0000";
else
q_a <= q_a+1;
end if;
end if;
end process;

Dalej chciał bym dopisać następnš magistralę typu

q_b : buffer std_logic_vector (3 downto 0);

oraz proces dla drugiego licznika:

process(q_a(3),res) begin
if (res = '1') then
q_b <= "0000";
elsif q_a(3)='1' and q_a(3)'event then
if (q_b="1001") then
q_b <= "0000";
else
q_b <= q_b+1;
end if;
end if;
end process;


Magistrale licznikow nie beda wyprowadzane, wiec probowalem tez deklarowac jako
signal, ale bez większego efektu.


Miłego dnia
--
Pzd, Irek.N.

--------------EA927F43F4A5CC303EC948A6
Content-Type: text/html; charset=iso-8859-2
Content-Transfer-Encoding: 8bit

<!doctype html public "-//w3c//dtd html 4.0 transitional//en">
<html>

<blockquote TYPE=CITE>To piszesz
<br>process (a, b, c, dana(x)) -- gdzie dana jest przykladowa nazwa Twojej
<br>magistrali, a (x) numerem "wyjmowanego" bitu.</blockquote>
A już ci że próbowałem ;-)
<br>ale dalej mam event attribute not supported for bit of multi-bit signal
:-(
<blockquote TYPE=CITE>Ale to nie jest agregacja ;-)</blockquote>
Tak wiem, ale agragacją chciałem to _obejść_. Może niefajny sposób, ale
liczyłem na sukces ;-)
<p>Dobra, początkujący wiele nie wymyśli, w książkach są ślicznie opisane
_primitiwy_ ale ich łączenie już nie. Jak w takim razie napisać licznik
np: 2 x 4 bity?
<p>Mam tak:
<br><tt>&nbsp;clk,res&nbsp; :&nbsp;&nbsp; in&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;
std_logic;</tt>
<br><tt>&nbsp;q_a&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; :&nbsp;&nbsp; buffer&nbsp;&nbsp;
std_logic_vector (3 downto 0);</tt><tt></tt>
<p><tt>&nbsp;process(clk,res) begin</tt>
<br><tt>&nbsp;&nbsp;&nbsp; if (res = '1') then</tt>
<br><tt>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; q_a &lt;= "0000";</tt>
<br><tt>&nbsp;&nbsp;&nbsp; elsif clk='1' and clk'event then</tt>
<br><tt>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; if (q_a="1001") then</tt>
<br><tt>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; q_a &lt;=
"0000";</tt>
<br><tt>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; else</tt>
<br><tt>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; q_a &lt;=
q_a+1;</tt>
<br><tt>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; end if;</tt>
<br><tt>&nbsp;&nbsp;&nbsp; end if;</tt>
<br><tt>&nbsp;end process;</tt><tt></tt>
<p>Dalej chciał bym dopisać następną magistralę typu<tt></tt>
<p><tt>&nbsp;q_b&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; :&nbsp;&nbsp; buffer&nbsp;&nbsp;
std_logic_vector (3 downto 0);</tt><tt></tt>
<p>oraz proces dla drugiego licznika:<tt></tt>
<p><tt>&nbsp;process(q_a(3),res) begin</tt>
<br><tt>&nbsp;&nbsp;&nbsp; if (res = '1') then</tt>
<br><tt>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; q_b &lt;= "0000";</tt>
<br><tt>&nbsp;&nbsp;&nbsp; elsif q_a(3)='1' and q_a(3)'event then</tt>
<br><tt>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; if (q_b="1001") then</tt>
<br><tt>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; q_b &lt;=
"0000";</tt>
<br><tt>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; else</tt>
<br><tt>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; q_b &lt;=
q_b+1;</tt>
<br><tt>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; end if;</tt>
<br><tt>&nbsp;&nbsp;&nbsp; end if;</tt>
<br><tt>&nbsp;end process;</tt>
<br><tt></tt>&nbsp;<tt></tt>
<p>Magistrale licznikow nie beda wyprowadzane, wiec probowalem tez deklarowac
jako signal, ale bez większego efektu.
<br>&nbsp;
<p>Miłego dnia
<br>--
<br>Pzd, Irek.N.</html>

--------------EA927F43F4A5CC303EC948A6--


========
Path: news-archive.icm.edu.pl!pingwin.icm.edu.pl!news.icm.edu.pl!news.atman.pl!newsfeed.gazeta.pl!newsfeed.tpinternet.pl!atlantis.news.tpi.pl!news.tpi.pl!not-for-mai

Poprzedni Następny
Wiadomość
Spis treści
From: "Piotr Zbysinski, EP \(H\)" <piotr.zbysinski_at_nospam_ep.com.pl>
Subject: Re: vhdl - funkcja agregujaca w Max 10.1
Date: Fri, 7 Feb 2003 20:42:19 +0100


This is a multi-part message in MIME format.

------= NextPart000_00ED_01C2CEE9.6883DFD0
Content-Type: text/plain;
charset="iso-8859-2"
Content-Transfer-Encoding: quoted-printable

To piszesz=20
process (a, b, c, dana(x)) -- gdzie dana jest przykladowa nazwa Twojej=20
magistrali, a (x) numerem "wyjmowanego" bitu.
A ju=BF ci =BFe pr=F3bowa=B3em ;-)=20
ale dalej mam event attribute not supported for bit of multi-bit =
signal :-(=20
Ale to nie jest agregacja ;-)
Tak wiem, ale agragacj=B1 chcia=B3em to _obej=B6=E6_. Mo=BFe niefajny =
spos=F3b, ale liczy=B3em na sukces ;-)=20


>> Jezeli upierasz sie na Altere to wykorzystaj Quartusa - VHDL dziala =
w nim PRAWIE normalnie. Max+Plus II jest juz narzedziem historycznym i z =
tego co wiem nie bedzie rozwijany (przynajmniej jakosciowo).=20
Jezeli lubisz sie meczyc, to sciagnij Leonardo ze strony Altery i zrob =
z opisu EDIF-a, ktory jako blok funkcjonalny importujesz do Max+Plus II =
(nie wiem, czy wersja SE to robi, ale WebEdition na pewno).=20
Dobra, pocz=B1tkuj=B1cy wiele nie wymy=B6li, w ksi=B1=BFkach s=B1 =
=B6licznie opisane primitiwy ale ich =B3=B1czenie ju=BF nie.=20

Nieprawda: http://www.btc.pl/index.php?id=3Duppk

Jak w takim razie napisa=E6 licznik np: 2 x 4 bity?=20

Mam tak:=20
clk,res : in std_logic;=20
q_a : buffer std_logic_vector (3 downto 0);=20

process(clk,res) begin=20
if (res =3D '1') then=20
q_a <=3D "0000";=20
elsif clk=3D'1' and clk'event then=20
if (q_a=3D"1001") then=20
q_a <=3D "0000";=20
else=20
q_a <=3D q_a+1;=20
end if;=20
end if;=20
end process;=20

Dalej chcia=B3 bym dopisa=E6 nast=EApn=B1 magistral=EA typu=20

q_b : buffer std_logic_vector (3 downto 0);=20

oraz proces dla drugiego licznika:=20

process(q_a(3),res) begin=20
if (res =3D '1') then=20
q_b <=3D "0000";=20
elsif q_a(3)=3D'1' and q_a(3)'event then=20
if (q_b=3D"1001") then=20
q_b <=3D "0000";=20
else=20
q_b <=3D q_b+1;=20
end if;=20
end if;=20
end process;=20
=20

Magistrale licznikow nie beda wyprowadzane, wiec probowalem tez =
deklarowac jako signal, ale bez wi=EAkszego efektu.=20



Zajrzyj do EP9/2002 na str. 31 -> jest tam listing opisu sprytnego =
licznika wielodekadowego. Daje sie zsyntetyzowac Max+Plusem.

Pzdr

PZb
=20


------= NextPart000_00ED_01C2CEE9.6883DFD0
Content-Type: text/html;
charset="iso-8859-2"
Content-Transfer-Encoding: quoted-printable

<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.0 Transitional//EN">
<HTML><HEAD>
<META http-equiv=3DContent-Type content=3D"text/html; =
charset=3Diso-8859-2">
<META content=3D"MSHTML 6.00.2722.900" name=3DGENERATOR>
<STYLE></STYLE>
</HEAD>
<BODY bgColor=3D#ffffff>
<DIV>To piszesz <BR>process (a, b, c, dana(x)) -- gdzie dana jest =
przykladowa=20
nazwa Twojej <BR>magistrali, a (x) numerem "wyjmowanego" bitu.</DIV>
<BLOCKQUOTE dir=3Dltr=20
style=3D"PADDING-RIGHT: 0px; PADDING-LEFT: 5px; MARGIN-LEFT: 5px; =
BORDER-LEFT: #000000 2px solid; MARGIN-RIGHT: 0px">A=20
ju=BF ci =BFe pr=F3bowa=B3em ;-) <BR>ale dalej mam event attribute not =
supported for=20
bit of multi-bit signal :-(=20
<BLOCKQUOTE TYPE=3D"CITE">Ale to nie jest agregacja ;-)</BLOCKQUOTE>
<DIV>Tak wiem, ale agragacj=B1 chcia=B3em to _obej=B6=E6_. Mo=BFe =
niefajny spos=F3b, ale=20
liczy=B3em na sukces ;-) </DIV>
<DIV><FONT face=3DArial size=3D2></FONT>&nbsp;</DIV>
<DIV><FONT face=3DArial size=3D2></FONT>&nbsp;</DIV>
<DIV><FONT face=3DArial size=3D2>&gt;&gt; Jezeli upierasz sie na =
Altere to=20
wykorzystaj Quartusa - VHDL dziala w nim PRAWIE normalnie. Max+Plus II =
jest=20
juz narzedziem historycznym i z tego co wiem nie bedzie rozwijany=20
(przynajmniej jakosciowo). </FONT></DIV>
<DIV><FONT face=3DArial size=3D2>Jezeli lubisz sie meczyc, to sciagnij =
Leonardo ze=20
strony Altery i zrob z opisu EDIF-a, ktory jako blok funkcjonalny =
importujesz=20
do Max+Plus II (nie wiem, czy wersja SE to robi, ale WebEdition na =
pewno).=20
</FONT></DIV>
<P>Dobra, pocz=B1tkuj=B1cy wiele nie wymy=B6li, w ksi=B1=BFkach s=B1 =
=B6licznie opisane=20
primitiwy ale ich =B3=B1czenie ju=BF nie.=20
<P><FONT face=3DArial size=3D2>Nieprawda:=20
http://www.btc.pl/index.php?id=3Duppk</FONT>
<P>Jak w takim razie napisa=E6 licznik np: 2 x 4 bity?=20
<P>Mam tak: <BR><TT>&nbsp;clk,res&nbsp; :&nbsp;&nbsp;=20
in&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; std_logic;</TT>=20
<BR><TT>&nbsp;q_a&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; :&nbsp;&nbsp;=20
buffer&nbsp;&nbsp; std_logic_vector (3 downto 0);</TT><TT></TT>=20
<P><TT>&nbsp;process(clk,res) begin</TT> <BR><TT>&nbsp;&nbsp;&nbsp; if =
(res =3D=20
'1') then</TT> <BR><TT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; q_a =
&lt;=3D=20
"0000";</TT> <BR><TT>&nbsp;&nbsp;&nbsp; elsif clk=3D'1' and clk'event =
then</TT>=20
<BR><TT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; if (q_a=3D"1001") =
then</TT>=20
<BR><TT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; q_a =
&lt;=3D=20
"0000";</TT> <BR><TT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; else</TT>=20
<BR><TT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; q_a =
&lt;=3D=20
q_a+1;</TT> <BR><TT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; end if;</TT>=20
<BR><TT>&nbsp;&nbsp;&nbsp; end if;</TT> <BR><TT>&nbsp;end=20
process;</TT><TT></TT>=20
<P>Dalej chcia=B3 bym dopisa=E6 nast=EApn=B1 magistral=EA =
typu<TT></TT>=20
<P><TT>&nbsp;q_b&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; :&nbsp;&nbsp;=20
buffer&nbsp;&nbsp; std_logic_vector (3 downto 0);</TT><TT></TT>=20
<P>oraz proces dla drugiego licznika:<TT></TT>=20
<P><TT>&nbsp;process(q_a(3),res) begin</TT> <BR><TT>&nbsp;&nbsp;&nbsp; =
if (res=20
=3D '1') then</TT> <BR><TT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; q_b =
&lt;=3D=20
"0000";</TT> <BR><TT>&nbsp;&nbsp;&nbsp; elsif q_a(3)=3D'1' and =
q_a(3)'event=20
then</TT> <BR><TT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; if =
(q_b=3D"1001")=20
then</TT> =
<BR><TT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; q_b=20
&lt;=3D "0000";</TT> <BR><TT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; =
else</TT>=20
<BR><TT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; q_b =
&lt;=3D=20
q_b+1;</TT> <BR><TT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; end if;</TT>=20
<BR><TT>&nbsp;&nbsp;&nbsp; end if;</TT> <BR><TT>&nbsp;end =
process;</TT>=20
<BR><TT></TT>&nbsp;<TT></TT>=20
<P>Magistrale licznikow nie beda wyprowadzane, wiec probowalem tez =
deklarowac=20
jako signal, ale bez wi=EAkszego efektu. </P>
<P><FONT face=3DArial size=3D2></FONT>&nbsp;</P>
<P><FONT face=3DArial size=3D2>Zajrzyj do EP9/2002 na str. 31 -&gt; =
jest tam=20
listing opisu sprytnego licznika wielodekadowego.&nbsp;&nbsp;Daje sie=20
zsyntetyzowac Max+Plusem.</FONT></P>
<P><FONT face=3DArial size=3D2>Pzdr</FONT></P>
<P><FONT face=3DArial size=3D2>PZb</FONT><BR>&nbsp; =
</P></BLOCKQUOTE></BODY></HTML>

------= NextPart000_00ED_01C2CEE9.6883DFD0--


========
Path: news-archive.icm.edu.pl!pingwin.icm.edu.pl!news.icm.edu.pl!not-for-mai

Poprzedni Następny
Wiadomość
Spis treści
From: Ireneusz Niemczyk <NOSPAM_i.niemczyk_at_nospam_multispedytor.com.pl>
Subject: Re: vhdl - funkcja agregujaca w Max 10.1
Date: Fri, 07 Feb 2003 21:02:26 +0100



--------------2449A49800A57D94C3AF1AE3
Content-Type: text/plain; charset=iso-8859-2
Content-Transfer-Encoding: 8bit

Jezeli upierasz sie na Altere to wykorzystaj Quartusa - VHDL
dziala w nim PRAWIE normalnie. Max+Plus II jest juz
narzedziem historycznym i z tego co wiem nie bedzie
rozwijany (przynajmniej jakosciowo).Jezeli lubisz sie
meczyc, to sciagnij Leonardo ze strony Altery i zrob z opisu
EDIF-a, ktory jako blok funkcjonalny importujesz do Max+Plus
II (nie wiem, czy wersja SE to robi, ale WebEdition na
pewno).


Chciał bym pozostać przy VHDL-u, ale coś widzę, że nie
bedzie to łatwe :-( Te wszystkie wyjątkowe sytuacje lekko
mnie odstraszają.

Nieprawda: http://www.btc.pl/index.php?id=uppk

Ależ oczywiście mam pozycję przed oczyma, masz na mysli przykład 15? Tam
jest tylko połączenie licznika z dekoderem - na to wpadłem bez problemu,
ale jak połączyć licznik z licznikiem już przykładu nie ma. nigdzie
zresztą nie jest podobna sytuacja omawiana.

Zajrzyj do EP9/2002 na str. 31 -> jest tam listing opisu
sprytnego licznika wielodekadowego. Daje sie zsyntetyzowac
Max+Plusem.

Kurcze, mam 7 i 8, ale 9 nie mam :-( Możesz pomóc?

Miłego weekendu.
--
PZD, Irek.N.


--------------2449A49800A57D94C3AF1AE3
Content-Type: text/html; charset=iso-8859-2
Content-Transfer-Encoding: 8bit

<!doctype html public "-//w3c//dtd html 4.0 transitional//en">
<html>
<body bgcolor="#FFFFFF">

<blockquote TYPE=CITE>
<blockquote dir=ltr
style="PADDING-RIGHT: 0px; PADDING-LEFT: 5px; MARGIN-LEFT: 5px; BORDER-LEFT: #000000 2px solid; MARGIN-RIGHT: 0px"><font face="Arial"><font size=-1>Jezeli
upierasz sie na Altere to wykorzystaj Quartusa - VHDL dziala w nim PRAWIE
normalnie. Max+Plus II jest juz narzedziem historycznym i z tego co wiem
nie bedzie rozwijany (przynajmniej jakosciowo).</font></font><font face="Arial"><font size=-1>Jezeli
lubisz sie meczyc, to sciagnij Leonardo ze strony Altery i zrob z opisu
EDIF-a, ktory jako blok funkcjonalny importujesz do Max+Plus II (nie wiem,
czy wersja SE to robi, ale WebEdition na pewno).</font></font>
<br><font face="Arial"><font size=-1></font></font>&nbsp;<font face="Arial"><font size=-1></font></font>
<p><font face="Arial"><font size=-1>Chciał bym pozostać przy VHDL-u, ale
coś widzę, że nie bedzie to łatwe :-( Te wszystkie wyjątkowe sytuacje lekko
mnie odstraszają.</font></font><font face="Arial"><font size=-1></font></font></blockquote>
</blockquote>

<blockquote TYPE=CITE>
<blockquote dir=ltr
style="PADDING-RIGHT: 0px; PADDING-LEFT: 5px; MARGIN-LEFT: 5px; BORDER-LEFT: #000000 2px solid; MARGIN-RIGHT: 0px"><font face="Arial"><font size=-1>Nieprawda:
<A HREF="http://www.btc.pl/index.php?id=uppk">http://www.btc.pl/index.php?id=uppk</A></font></font></blockquote>
</blockquote>
Ależ oczywiście mam pozycję przed oczyma, masz na mysli przykład 15? Tam
jest tylko połączenie licznika z dekoderem - na to wpadłem bez problemu,
ale jak połączyć licznik z licznikiem już przykładu nie ma. nigdzie zresztą
nie jest podobna sytuacja omawiana.
<blockquote TYPE=CITE>
<blockquote dir=ltr
style="PADDING-RIGHT: 0px; PADDING-LEFT: 5px; MARGIN-LEFT: 5px; BORDER-LEFT: #000000 2px solid; MARGIN-RIGHT: 0px"><font face="Arial"><font size=-1>Zajrzyj
do EP9/2002 na str. 31 -> jest tam listing opisu sprytnego licznika wielodekadowego.&nbsp;
Daje sie zsyntetyzowac Max+Plusem.</font></font></blockquote>
</blockquote>
Kurcze, mam 7 i 8, ale 9 nie mam :-( Możesz pomóc?
<p>Miłego weekendu.
<br>--
<br>PZD, Irek.N.
<br>&nbsp;
</body>
</html>

--------------2449A49800A57D94C3AF1AE3--


========
Path: news-archive.icm.edu.pl!pingwin.icm.edu.pl!news.icm.edu.pl!mimuw.edu.pl!news.mimuw.edu.pl!newsfeed.tpinternet.pl!atlantis.news.tpi.pl!news.tpi.pl!not-for-mai

Poprzedni Następny
Wiadomość
Spis treści
From: "Piotr Zbysinski, EP \(H\)" <piotr.zbysinski_at_nospam_ep.com.pl>
Subject: Re: vhdl - funkcja agregujaca w Max 10.1
Date: Fri, 7 Feb 2003 21:29:12 +0100


This is a multi-part message in MIME format.

------= NextPart000_02DE_01C2CEEF.F52937E0
Content-Type: text/plain;
charset="iso-8859-2"
Content-Transfer-Encoding: quoted-printable


U=BFytkownik "Ireneusz Niemczyk" =
<NOSPAM_i.niemczyk_at_nospam_multispedytor.com.pl> napisa=B3 w wiadomo=B6ci =
news:3E4410D2.1BE5149F_at_nospam_multispedytor.com.pl...
Jezeli upierasz sie na Altere to wykorzystaj Quartusa - VHDL =
dziala w nim PRAWIE normalnie. Max+Plus II jest juz narzedziem =
historycznym i z tego co wiem nie bedzie rozwijany (przynajmniej =
jakosciowo).Jezeli lubisz sie meczyc, to sciagnij Leonardo ze strony =
Altery i zrob z opisu EDIF-a, ktory jako blok funkcjonalny importujesz =
do Max+Plus II (nie wiem, czy wersja SE to robi, ale WebEdition na =
pewno).=20
=20
Chcia=B3 bym pozosta=E6 przy VHDL-u, ale co=B6 widz=EA, =BFe nie =
bedzie to =B3atwe :-( Te wszystkie wyj=B1tkowe sytuacje lekko mnie =
odstraszaj=B1.

VHDL uwazam za wybryk natury (mimo tego, ze go uzywam ;-)), ale =
Quartus ma syntezer VHDL-a.



Nieprawda: http://www.btc.pl/index.php?id=3Duppk
Ale=BF oczywi=B6cie mam pozycj=EA przed oczyma, masz na mysli =
przyk=B3ad 15? Tam jest tylko po=B3=B1czenie licznika z dekoderem - na =
to wpad=B3em bez problemu, ale jak po=B3=B1czy=E6 licznik z licznikiem =
ju=BF przyk=B3adu nie ma. nigdzie zreszt=B1 nie jest podobna sytuacja =
omawiana.=20

Robi sie to dokladnie tak samo! Po prostu w hierarchii laczysz ze soba =
nie dekoder z licznikiem, ale dwa liczniki ;-)) Przeczytaj uwaznie ten =
przyklad.

Zajrzyj do EP9/2002 na str. 31 -> jest tam listing opisu sprytnego =
licznika wielodekadowego. Daje sie zsyntetyzowac Max+Plusem.
Kurcze, mam 7 i 8, ale 9 nie mam :-( Mo=BFesz pom=F3c?=20


W poniedzialek - nie mam jej pod reka :(
Pzdr
PZb



------= NextPart000_02DE_01C2CEEF.F52937E0
Content-Type: text/html;
charset="iso-8859-2"
Content-Transfer-Encoding: quoted-printable

<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.0 Transitional//EN">
<HTML><HEAD>
<META http-equiv=3DContent-Type content=3D"text/html; =
charset=3Diso-8859-2">
<META content=3D"MSHTML 6.00.2722.900" name=3DGENERATOR>
<STYLE></STYLE>
</HEAD>
<BODY bgColor=3D#ffffff>
<DIV><FONT face=3DArial size=3D2></FONT>&nbsp;</DIV>
<BLOCKQUOTE dir=3Dltr=20
style=3D"PADDING-RIGHT: 0px; PADDING-LEFT: 5px; MARGIN-LEFT: 5px; =
BORDER-LEFT: #000000 2px solid; MARGIN-RIGHT: 0px">
<DIV>U=BFytkownik "Ireneusz Niemczyk" &lt;<A=20
=
href=3D"mailto:NOSPAM_i.niemczyk_at_nospam_multispedytor.com.pl">NOSPAM_i.niemczyk_at_nospam_=
multispedytor.com.pl</A>&gt;=20
napisa=B3 w wiadomo=B6ci <A=20
=
href=3D"news:3E4410D2.1BE5149F_at_nospam_multispedytor.com.pl">news:3E4410D2.1BE514=
9F_at_nospam_multispedytor.com.pl</A>...</DIV>
<BLOCKQUOTE TYPE=3D"CITE">
<BLOCKQUOTE dir=3Dltr=20
style=3D"PADDING-RIGHT: 0px; PADDING-LEFT: 5px; MARGIN-LEFT: 5px; =
BORDER-LEFT: #000000 2px solid; MARGIN-RIGHT: 0px"><FONT=20
face=3DArial><FONT size=3D-1>Jezeli upierasz sie na Altere to =
wykorzystaj=20
Quartusa - VHDL dziala w nim PRAWIE normalnie. Max+Plus II jest =
juz=20
narzedziem historycznym i z tego co wiem nie bedzie rozwijany=20
(przynajmniej jakosciowo).</FONT></FONT><FONT face=3DArial><FONT=20
size=3D-1>Jezeli lubisz sie meczyc, to sciagnij Leonardo ze strony =
Altery i=20
zrob z opisu EDIF-a, ktory jako blok funkcjonalny importujesz do =
Max+Plus=20
II (nie wiem, czy wersja SE to robi, ale WebEdition na=20
pewno).</FONT></FONT> <BR><FONT face=3DArial><FONT=20
size=3D-1></FONT></FONT>&nbsp;<FONT face=3DArial><FONT =
size=3D-1></FONT></FONT>=20
<P><FONT face=3DArial><FONT size=3D-1>Chcia=B3 bym pozosta=E6 przy =
VHDL-u, ale co=B6=20
widz=EA, =BFe nie bedzie to =B3atwe :-( Te wszystkie wyj=B1tkowe =
sytuacje lekko=20
mnie odstraszaj=B1.</FONT></FONT>
<P><FONT face=3DArial size=3D2>VHDL uwazam za wybryk natury (mimo =
tego, ze go=20
uzywam ;-)), ale&nbsp;&nbsp;Quartus ma syntezer VHDL-a.</FONT>
<P><FONT face=3DArial size=3D2></FONT><FONT face=3DArial><FONT=20
size=3D-1></FONT></FONT>&nbsp;</P></BLOCKQUOTE></BLOCKQUOTE>
<BLOCKQUOTE TYPE=3D"CITE">
<BLOCKQUOTE dir=3Dltr=20
style=3D"PADDING-RIGHT: 0px; PADDING-LEFT: 5px; MARGIN-LEFT: 5px; =
BORDER-LEFT: #000000 2px solid; MARGIN-RIGHT: 0px"><FONT=20
face=3DArial><FONT size=3D-1>Nieprawda: <A=20
=
href=3D"http://www.btc.pl/index.php?id=3Duppk">http://www.btc.pl/index.ph=
p?id=3Duppk</A></FONT></FONT></BLOCKQUOTE></BLOCKQUOTE>
<DIV>Ale=BF oczywi=B6cie mam pozycj=EA przed oczyma, masz na mysli =
przyk=B3ad 15? Tam=20
jest tylko po=B3=B1czenie licznika z dekoderem - na to wpad=B3em bez =
problemu, ale=20
jak po=B3=B1czy=E6 licznik z licznikiem ju=BF przyk=B3adu nie ma. =
nigdzie zreszt=B1 nie=20
jest podobna sytuacja omawiana. </DIV>
<DIV><FONT face=3DArial size=3D2></FONT>&nbsp;</DIV>
<DIV><FONT face=3DArial size=3D2>Robi sie to dokladnie tak samo! Po =
prostu w=20
hierarchii laczysz ze soba nie dekoder z licznikiem, ale dwa liczniki =
;-))=20
Przeczytaj uwaznie ten przyklad.</FONT></DIV>
<DIV><FONT face=3DArial size=3D2></FONT>&nbsp;</DIV>
<BLOCKQUOTE TYPE=3D"CITE">
<BLOCKQUOTE dir=3Dltr=20
style=3D"PADDING-RIGHT: 0px; PADDING-LEFT: 5px; MARGIN-LEFT: 5px; =
BORDER-LEFT: #000000 2px solid; MARGIN-RIGHT: 0px"><FONT=20
face=3DArial><FONT size=3D-1>Zajrzyj do EP9/2002 na str. 31 -&gt; =
jest tam=20
listing opisu sprytnego licznika wielodekadowego.&nbsp; Daje sie=20
zsyntetyzowac Max+Plusem.</FONT></FONT></BLOCKQUOTE></BLOCKQUOTE>
<DIV>Kurcze, mam 7 i 8, ale 9 nie mam :-( Mo=BFesz pom=F3c? </DIV>
<DIV>&nbsp;</DIV>
<DIV><FONT face=3DArial size=3D2></FONT>&nbsp;</DIV>
<DIV><FONT face=3DArial size=3D2>W </FONT><FONT face=3DArial =
size=3D2>poniedzialek -=20
nie mam jej pod reka :(</FONT></DIV>
<DIV><FONT face=3DArial size=3D2>Pzdr</FONT></DIV>
<DIV><FONT face=3DArial size=3D2>PZb</FONT></DIV>
<P>&nbsp;</P></BLOCKQUOTE></BODY></HTML>

------= NextPart000_02DE_01C2CEEF.F52937E0--


========
Path: news-archive.icm.edu.pl!pingwin.icm.edu.pl!news.icm.edu.pl!news.atman.pl!newsfeed.gazeta.pl!newsfeed.tpinternet.pl!atlantis.news.tpi.pl!news.tpi.pl!not-for-mai

Poprzedni Następny
Wiadomość
Spis treści
From: Marcin E. Hamerla <mehamerla_at_nospam_pro.onet.pl>
Subject: Re: vhdl - funkcja agregujaca w Max 10.1
Date: Fri, 07 Feb 2003 21:31:16 +0100


Piotr Zbysinski, EP (H) napisal(a):

Użytkownik "Ireneusz Niemczyk" <NOSPAM_i.niemczyk_at_nospam_multispedytor.com.pl> napisał w wiadomości news:3E4410D2.1BE5149F_at_nospam_multispedytor.com.pl...
Jezeli upierasz sie na Altere to wykorzystaj Quartusa - VHDL dziala w nim PRAWIE normalnie. Max+Plus II jest juz narzedziem historycznym i z tego co wiem nie bedzie rozwijany (przynajmniej jakosciowo).Jezeli lubisz sie meczyc, to sciagnij Leonardo ze stro