Linia opóźniająca - jak ?



Masz problem? Zapytaj na forum elektroda.pl

Poprzedni Następny
Wiadomo¶ć
Spis tre¶ci
From: "Kroolik" <kkoziol_at_nospam_friko2.onet.pl>
Subject: Linia opóźniająca - jak ?
Date: Sun, 25 Jun 2000 16:50:07 +0200


Jak zrobić na elementach dyskretnych proste opoźnienie i zmiksować je z
sygnałem oryginalnym dla uzyskania pogłosu ?

Muzyk, Kroolik.



Poprzedni Następny
Wiadomo¶ć
Spis tre¶ci
From: "dareqpl" <darekpl_at_nospam_box43.gnet.pl>
Subject: Odp: Linia opóźniająca - jak ?
Date: Sun, 25 Jun 2000 20:56:57 GMT


Użytkownik tom <woytomas_at_nospam_poczta.onet.pl> w wiadomo¶ci do grup dyskusyjnych
napisał:YMt55.52078$DC.1155894_at_nospam_news.tpnet.pl...
Mam wrazenie, ze to nie jest takie proste jak ci sie wydaje...
- jednak proste jak cholera,stare TDA1022 lub nowsze seri MN.....,w
konwencjonalnym ukladzie
chorusa,flangera,delay'a,reverb'u,itd,itp.........

"Jak zrobić na elementach dyskretnych proste opoźnienie i zmiksować je z
sygnałem oryginalnym dla uzyskania pogłosu ?"

czy jakikolwiek TDA to element dyskretny ???
)))
nadal twierdze, ze to nie takie proste na samych tranzystorkach to zrobic

dareqpl





Poprzedni Następny
Wiadomo¶ć
Spis tre¶ci
From: "Scoobie" <NOSPAMscoobie_at_nospam_apator.torun,pl>
Subject: =?iso-8859-2?B?T2RwOiBMaW5pYSBvcPO8bmlharFjYSAtIGphayA/?=
Date: Mon, 26 Jun 2000 11:10:45 +0200


czy jakikolwiek TDA to element dyskretny ???
nie - scalony ;-)
nadal twierdze, ze to nie takie proste na samych tranzystorkach
to zrobic
"nie takie proste"... delikatnie powiedziane!
odnoszę wrażenie, że Kolega Kroolik chciałby uzyskać pogłos
kilkoma elementami RC, czy czym¶ o zbliżonym stopniu
skomplikowania. Nic z tego! Najprostsza jest sprężyna do pogłosu,
a je¶li stosować elektronikę, to TDA1022 albo porządny, cyfrowy
pogłos.
Sorry - nie ma tak lekko!
Scoobie