VHDL - string ?



Masz problem? Zapytaj na forum elektroda.pl

Poprzedni Następny
Wiadomość
Spis treści
From: "Andy" <anok_at_nospam_ceti.pl>
Subject: VHDL - string ?
Date: Fri, 23 Aug 2002 01:37:07 +0200


witam

bawie sie ostatnio vhdl'em
ale cos nie dziala mi tak jak powinno
przyklad

--1
constant Message1 : String(1 to 5) := ( 'H','E','L','L','O' );

-- 2
constant Message1 : String(1 to 5) := "HELLO";

-- 3
constant Message1 : String(1 to 5) := ( 'H','E','L','L', CR );


zrobilem sobie prostego UARTA
i wysylam takiego stringa do PC'ta

przypadek 1 i 2 dzialaja OK na terminalu widze HELLO
ale ten 3 sposob z CR (0x0D) na koncu w jakos psuje pozostale
znaki

i otrzymuje ptaszki (w hexie: 08, 05 , 0C, 0C, 0D)
jak widac jedynie CR (0x0D) przesyla sie dobrze

a pozostale to jakby wartosci ale nie ASCII
tak jakby A= 0x00, B = 0x01 itd ... kolejno z alfabetu


ciekawe czy to jakis blad w syntezie czy ja cos robie nie tak ???
moze ktos ma jakis pomysl ?

uzywam darmowego WebPack Xilinx'a na XC9572

Andrzej