Komparator w VHDL



Masz problem? Zapytaj na forum elektroda.pl

Poprzedni Następny
Wiadomość
Spis treści
From: "Grzegorz Mentrak" <gmentrak_at_nospam_polbox.com>
Subject: Komparator w VHDL
Date: Sun, 22 Sep 2002 20:17:55 +0200


Witam

Właśnie próbuje zrobić komparator 8 bitowy w VHDL i mam problem bo zależy mi
na tym aby można było ustawić "don't care bits". To znaczy, że porównuje mi
dwie liczby 8 bitowe ale nie bierze pod uwagę niektórych bitów.
Wykombinowałem sobie taki patent ,że poza dwoma 8 bitowymi wejściami było
jeszcze trzecie na którym ustawiam których bitów ma nie brać pod uwagę. Czy
ma to sens? Czy może jest prostsze rozwiązanie? Zaznaczam, że w VHDL'u
jestem początkujący.

--
Pozdrawiam
Grzegorz Mentrak
gmentrak_at_nospam_polbox.com



Poprzedni Następny
Wiadomość
Spis treści
From: "Piotr Zbysinski, EP \(H\)" <piotr.zbysinski_at_nospam_ep.com.pl>
Subject: Re: Komparator w VHDL
Date: Sun, 22 Sep 2002 22:42:06 +0200


Właśnie próbuje zrobić komparator 8 bitowy w VHDL i mam problem bo zależy
mi
na tym aby można było ustawić "don't care bits". To znaczy, że porównuje
mi
dwie liczby 8 bitowe ale nie bierze pod uwagę niektórych bitów.
Wykombinowałem sobie taki patent ,że poza dwoma 8 bitowymi wejściami było
jeszcze trzecie na którym ustawiam których bitów ma nie brać pod uwagę.
Czy
ma to sens? Czy może jest prostsze rozwiązanie? Zaznaczam, że w VHDL'u
jestem początkujący.


Niezaleznie od jezyka opisu musisz okreslic czy:
Wtedy bede mogl Ci pomoc.
Pzdr
PZb



Poprzedni Następny
Wiadomość
Spis treści
From: "Grzegorz Mentrak" <gmentrak_at_nospam_polbox.com>
Subject: Re: Komparator w VHDL
Date: Sun, 22 Sep 2002 22:59:38 +0200


Witam

Użytkownik Piotr Zbysinski, EP (H) <piotr.zbysinski_at_nospam_ep.com.pl> w wiadomości
do grup dyskusyjnych napisał:aml9u0$1dp$1_at_nospam_news2.tpi.pl...
Niezaleznie od jezyka opisu musisz okreslic czy:
- chcesz ignorowac te same bity w obydwu porownywanych wektorach,
Tak.
- czy komparator ma miec tylko wyjscie "=", czy tez "<" i ">".
Tylko "="
Wtedy bede mogl Ci pomoc.
Będę wdzięczny.

--
Pozdrawiam
Grzegorz Mentrak
gmentrak_at_nospam_polbox.com



Poprzedni Następny
Wiadomość
Spis treści
From: "Piotr Zbysinski, EP \(H\)" <piotr.zbysinski_at_nospam_ep.com.pl>
Subject: Re: Komparator w VHDL
Date: Sun, 22 Sep 2002 23:25:26 +0200



Niezaleznie od jezyka opisu musisz okreslic czy:
- chcesz ignorowac te same bity w obydwu porownywanych wektorach,
Tak.
- czy komparator ma miec tylko wyjscie "=", czy tez "<" i ">".
Tylko "="
Wtedy bede mogl Ci pomoc.
Będę wdzięczny.


W takim razie jest to dosc proste, najlatwiej to zrobic tak:
nazwalem X0...X7,
drugie wejscia (nazwijmy je SEL0...SEL7) sluza do wybierania bitowaktywnych
w porownywaniu (wlaczony dla 0, wylaczony dla 1),
wyjsciu otrzymujesz wynik.
Rodzaje zastosowanych bramek zalezy od przyjetej polaryzacji logiki, ale w
zasadzie nie ma to zadnego znaczenia. Mysle, ze ten opis powinien Ci
wystarczyc do zapisania calosci w VHDL.
Pzdr
PZb



Poprzedni Następny
Wiadomość
Spis treści
From: "Grzegorz Mentrak" <gmentrak_at_nospam_polbox.com>
Subject: Re: Komparator w VHDL
Date: Sun, 22 Sep 2002 23:58:27 +0200


Witam
Użytkownik Piotr Zbysinski, EP (H) <piotr.zbysinski_at_nospam_ep.com.pl> w wiadomości
do grup dyskusyjnych napisał:amlcfo$r5o$1_at_nospam_news.tpi.pl...
W takim razie jest to dosc proste, najlatwiej to zrobic tak:
<CIACH>
Mysle, ze ten opis powinien Ci
wystarczyc do zapisania calosci w VHDL.
Rzeczywiście to było proste:-) Dziękuje za pomoc.

--
Pozdrawiam
Grzegorz Mentrak
gmentrak_at_nospam_polbox.com