VHDL pomoc



Masz problem? Zapytaj na forum elektroda.pl

Poprzedni Następny
Wiadomość
Spis treści
From: Payti <payti_at_nospam_o2.pl>
Subject: VHDL pomoc
Date: Tue, 29 Oct 2002 20:51:13 +0100


Witam,
szukam dobrej duszy coby mogla w razie braku
koncepcji pisania kilku procedur cos podpowiedziec?
Jest ktos chetny do pomocy z VHDL'a ?

-=Payti=-