Jaki jest najlepszy program do syntezy VHDL



Masz problem? Zapytaj na forum elektroda.pl

Poprzedni Następny
Wiadomość
Spis treści
From: "Adam Ślosarski" <adamslosarski_at_nospam_tlen.pl>
Subject: Jaki jest najlepszy program do syntezy VHDL
Date: Tue, 5 Nov 2002 00:28:13 +0100


jaki jest najlepszy program do syntezy VHDL?

mam Warp-a i gdy konkretyzuje port-y a dany pin w tym porcie jest inout to
nie moge mu przekazac SIGNAL np.



ARCHITECTURE GEN OF GEN IS

signal D : std_logic_vector(7 downto 0);
signal we, oe, ce : std_logic;
signal A : integer range 0 to 7;

BEGIN
ramx1: SRAM
--GENERIC MAP(<association list>)
PORT MAP(A => A, D => D, WE => WE, OE => OE, CE => CE);


i tu mam blad konkretyzacji D - pisze mi ze sygnal nie moze byc inout gdy
ten pin jest trzystanowy

a dokladniej:

topld: Gen.vhd: (E476) Output-enabled/tristated signal 'd_7' must be an
OUT or INOUT port.
topld: Gen.vhd: (E476) Output-enabled/tristated signal 'd_6' must be an
OUT or INOUT port.
topld: Gen.vhd: (E476) Output-enabled/tristated signal 'd_5' must be an
OUT or INOUT port.
topld: Gen.vhd: (E476) Output-enabled/tristated signal 'd_4' must be an
OUT or INOUT port.
topld: Gen.vhd: (E476) Output-enabled/tristated signal 'd_3' must be an
OUT or INOUT port.
topld: Gen.vhd: (E476) Output-enabled/tristated signal 'd_2' must be an
OUT or INOUT port.
topld: Gen.vhd: (E476) Output-enabled/tristated signal 'd_1' must be an
OUT or INOUT port.
topld: Gen.vhd: (E476) Output-enabled/tristated signal 'd_0' must be an
OUT or INOUT port.

chyba inne programy do syntezy pozwalają na coś takiego?

--

Adams




Poprzedni Następny
Wiadomość
Spis treści
From: "Mister" <wojpie_at_nospam__NSPAM_bielsko.evatronix.com.pl>
Subject: Re: Jaki jest najlepszy program do syntezy VHDL
Date: Tue, 5 Nov 2002 11:57:47 +0100


mam Warp-a i gdy konkretyzuje port-y a dany pin w tym porcie jest inout to
nie moge mu przekazac SIGNAL np.

Nie powinno używać deklaracji inout gdyż synteza tego nie trawi. Trzeba
rozdzielić to na in oraz out.

Mister



Poprzedni Następny
Wiadomość
Spis treści
From: "Adam ślosarski" <adam.slosarski_at_nospam_contec.com.pl>
Subject: Re: Jaki jest najlepszy program do syntezy VHDL
Date: Tue, 5 Nov 2002 15:08:50 +0100


mam Warp-a i gdy konkretyzuje port-y a dany pin w tym porcie jest inout
to
nie moge mu przekazac SIGNAL np.

Nie powinno używać deklaracji inout gdyż synteza tego nie trawi. Trzeba
rozdzielić to na in oraz out.


czyli nie trawi troj stanowych buforow?

tzn. magistrala musi byc rozdzielona na wejscie i wyjscie osobno gdy ta
magistrala jest wewnatrz ukladu?
--
adams



Poprzedni Następny
Wiadomość
Spis treści
From: "Mister" <wojpie_at_nospam__NSPAM_bielsko.evatronix.com.pl>
Subject: Re: Jaki jest najlepszy program do syntezy VHDL
Date: Tue, 5 Nov 2002 17:11:48 +0100


czyli nie trawi troj stanowych buforow?

tzn. magistrala musi byc rozdzielona na wejscie i wyjscie osobno gdy ta
magistrala jest wewnatrz ukladu?
tak rozdzielona, dopiero w schematiku wstawiasz bufory plus component
vhdl-owy.

Mister



Poprzedni Następny
Wiadomość
Spis treści
From: "jerry1111" <jerry1111_at_nospam_wp.pl>
Subject: Re: Jaki jest najlepszy program do syntezy VHDL
Date: Tue, 5 Nov 2002 17:20:53 +0100


czyli nie trawi troj stanowych buforow?

Generalnie magistrali trojstanowych sie nie prowadzi
bo sa co najmniej kilkakrotnie wolniejsze niz jakby
je zrobic inaczej.

tzn. magistrala musi byc rozdzielona na wejscie i wyjscie osobno gdy ta
magistrala jest wewnatrz ukladu?
tak rozdzielona, dopiero w schematiku wstawiasz bufory plus component
vhdl-owy.

Z drugiej strony Leonardo zamienia tristate'y i
opendrainy na normalna logike i wtedy nie ma problemy.
Ale generalnie lepiej zaraz przy pinie rozdzielic to sobie
na in i out oddzielnie.

jerry



Poprzedni Następny
Wiadomość
Spis treści
From: "jerry1111" <jerry1111_at_nospam_wp.pl>
Subject: Re: Jaki jest najlepszy program do syntezy VHDL
Date: Tue, 5 Nov 2002 17:25:44 +0100


I do autora watku:
Ktory syntezer do VHDLa jest najlepszy to nie wiem.
Zalezy od tego jakie kosci masz zamiar uzyc,
bo np. narzedzia Xilinxa poprawnie zinterpretuja
powiedzmy wewnetrzny RAM tylko dla kosci xilinxa.
Tak samo z Altera. Traci sie co prawda na przenoszalnosci
kodu VHDL, ale czasami zyskuje sie bardzo duzo
na szybkosci albo wielkosci ukladu.

Aha - ja uzywam QuartusII i do syntezy Leonardo Spectrum.
Programy sa do kosci Altery, ale Leonardo chyba jest
tez na Xilinxa.

jerry



Poprzedni Następny
Wiadomość
Spis treści
From: "Adam Ślosarski" <adam.slosarski_at_nospam_contec.com.pl>
Subject: Re: Jaki jest najlepszy program do syntezy VHDL
Date: Tue, 5 Nov 2002 18:35:07 +0100


Ktory syntezer do VHDLa jest najlepszy to nie wiem.
Zalezy od tego jakie kosci masz zamiar uzyc,
bo np. narzedzia Xilinxa poprawnie zinterpretuja
powiedzmy wewnetrzny RAM tylko dla kosci xilinxa.
Tak samo z Altera. Traci sie co prawda na przenoszalnosci
kodu VHDL, ale czasami zyskuje sie bardzo duzo
na szybkosci albo wielkosci ukladu.

Jak to, przeciez po to powstal VHDL wyzszy jezyk (abstrakcji) aby zachować
przenoszalność czyli aby wprowadzic abstrakcje a nie logike bramkową?
Dlaczego tak jest, tak słyszę że ten jest lepszy z tymi koscmi a tamten z
tamtymi (moim zdaniem kompilator to powinien byc niezalezny czyli kompilator
C++ najlepszy moze kompilowac i nie ma znaczenia na co, czy na Motorole czy
na Intela czy Win-a czy Linux-a)



Aha - ja uzywam QuartusII
tzn. do czego on jest, bo nizej napisales ze do syntezy to leonardo to wiec
QuartusII co robi w takim razie?

i do syntezy Leonardo Spectrum.

Programy sa do kosci Altery, ale Leonardo chyba jest
tez na Xilinxa.

--
adams



Poprzedni Następny
Wiadomość
Spis treści
From: Marek Lewandowski <nospamabuse_at_nospam_poczta.onet.pl>
Subject: Re: Jaki jest najlepszy program do syntezy VHDL
Date: Tue, 05 Nov 2002 22:07:44 +0100


Adam Ślosarski wrote:


Jak to, przeciez po to powstal VHDL wyzszy jezyk (abstrakcji) aby zachować
przenoszalność czyli aby wprowadzic abstrakcje a nie logike bramkową?

Niestety, ideały nie przystają do rzeczywistości i niektóre konstrukcje
kompilowalne są tylko dla konkretnych kości. No po prostu trzeba mieć
ten hardware do opisywania...

Dlaczego tak jest, tak słyszę że ten jest lepszy z tymi koscmi a tamten z
tamtymi (moim zdaniem kompilator to powinien byc niezalezny czyli kompilator
C++ najlepszy moze kompilowac i nie ma znaczenia na co, czy na Motorole czy
na Intela czy Win-a czy Linux-a)

Pokaż mi choć JEDEN taki kompilator, panie programisto.
Taki, żeby to samo poszło bez zmian skompilowane pod Windę i pod Linuxa.
I żeby wykorzystywało maszynę optymalnie (bo o tym mowa).


--
Marek Lewandowski ICQ# 10139051/GG# 154441
locustXpoczta|onet|pl
http://locust.republika.pl
[! Odpowiadaj pod cytatem. Tnij cytaty. Podpisuj posty. !]

Poprzedni Następny
Wiadomość
Spis treści
From: "Piotr Wyderski" <piotr.wyderskiREMOVE_at_nospam_hoga.pl>
Subject: Re: Jaki jest najlepszy program do syntezy VHDL
Date: Sat, 9 Nov 2002 13:46:57 +0100


Marek Lewandowski wrote:

Pokaż mi choć JEDEN taki kompilator, panie programisto.

Chocby GCC albo Watcom C, oba maja wiele back-endow i bardzo
dobrze sprawdzaja sie w roli kompilatorow skrosnych.

Taki, żeby to samo poszło bez zmian skompilowane pod Windę i pod Linuxa.

To wymaganie dotyczy kompilowanego programu, a nie kompilatora. :-)

I żeby wykorzystywało maszynę optymalnie (bo o tym mowa).

Wiesz, juz sam problem optymalnego przydzialu rejestrow
jest NP-zupelny, wiec poluzuj troche wymagania. :-)

Pozdrawiam
Piotr Wyderski



Poprzedni Następny
Wiadomość
Spis treści
From: jfox_at_nospam_poczta.onet.pl (J.F.)
Subject: Re: Jaki jest najlepszy program do syntezy VHDL
Date: Sun, 10 Nov 2002 10:06:26 GMT


On Sat, 9 Nov 2002 13:46:57 +0100, Piotr Wyderski wrote:
Marek Lewandowski wrote:
Pokaż mi choć JEDEN taki kompilator, panie programisto.

Chocby GCC albo Watcom C, oba maja wiele back-endow i bardzo
dobrze sprawdzaja sie w roli kompilatorow skrosnych.

Chyba ze im nagle przyjdzie kompilowac na 8051 :-)

Niestety - dzis nawet kompilowanie na glupiego peceta to skomplikowany
problem, jesli kazdy z dostepnych procesorow ma inne wskazowki
do optymalizacji.
Wiec nie ma sie co dziwic ze i na bramki rozne kompilatory inaczej
kompiluja..

I żeby wykorzystywało maszynę optymalnie (bo o tym mowa).
Wiesz, juz sam problem optymalnego przydzialu rejestrow
jest NP-zupelny, wiec poluzuj troche wymagania. :-)

Problem NP-zupelny nie jest nierozwiazywalny ! Rejestrow
jest za zwyczaj malo, wiec da sie wyliczyc w sensownym czasie.

J.


Poprzedni Następny
Wiadomość
Spis treści
From: "Piotr Wyderski" <piotr.wyderskiREMOVE_at_nospam_hoga.pl>
Subject: Re: Jaki jest najlepszy program do syntezy VHDL
Date: Sun, 10 Nov 2002 12:22:51 +0100



J.F. wrote:

Chyba ze im nagle przyjdzie kompilowac na 8051 :-)

Nie jestem pewien, ale gdy sobie kompilowalem GCC, to
chyba byla tam mozliwosc dolaczenia generatora do 8051,
wiec dlaczego mialby z tym byc problem? Jesli chodzi
o ustawianie obszarow pamieci pod wskazanymi adresami,
to linker LD umozliwia pisanie skryptow, a wtedy mozna
doslownie wszystko zrobic z mapa pamieci. :-)

Niestety - dzis nawet kompilowanie na glupiego peceta to skomplikowany
problem, jesli kazdy z dostepnych procesorow ma inne wskazowki
do optymalizacji.

To tylko kwestia generatora kodu i optymalizatora, cala reszta kompilatora
pozostaje bez zmian (analizator leksykalny, syntaktyczny itd.), wiec nie
jest
to jakies skrajnie trudne. W GCC do opisu takich rzeczy jest nawet specjalna
notacja.

Wiec nie ma sie co dziwic ze i na bramki rozne kompilatory inaczej
kompiluja..

Na kompilatorach do VHDL-u sie nie znam. :-)

Problem NP-zupelny nie jest nierozwiazywalny ! Rejestrow
jest za zwyczaj malo, wiec da sie wyliczyc w sensownym czasie.

Na pececie 8, wiec zadanie trywialne, ale na prockach z 16 albo
32 rejestrami... w sensownym czasie, powiadasz? :o))) Na pocieszenie
dodam, ze optymalne szeregowanie instrukcji tez jest NP. :-)

Pozdrawiam
Piotr Wyderski

Poprzedni Następny
Wiadomość
Spis treści
From: jfox_at_nospam_poczta.onet.pl (J.F.)
Subject: Re: Jaki jest najlepszy program do syntezy VHDL
Date: Mon, 11 Nov 2002 11:30:15 GMT


On Sun, 10 Nov 2002 12:22:51 +0100, Piotr Wyderski wrote:
J.F. wrote:
Chyba ze im nagle przyjdzie kompilowac na 8051 :-)

Nie jestem pewien, ale gdy sobie kompilowalem GCC, to
chyba byla tam mozliwosc dolaczenia generatora do 8051,

Owszem, jest.

wiec dlaczego mialby z tym byc problem?

W ogole jest problem. Za maly stos, za malo rejestrow,
nieefektywny dostep do pamieci, nieefektywne operacje 16-bit -
kompilator ktory swietnie sobie radzi z 32-bit RISC
jest kiepski na 8-bitowym malenstwie.

Niestety - dzis nawet kompilowanie na glupiego peceta to skomplikowany
problem, jesli kazdy z dostepnych procesorow ma inne wskazowki
do optymalizacji.

To tylko kwestia generatora kodu i optymalizatora, cala reszta kompilatora
pozostaje bez zmian (analizator leksykalny, syntaktyczny itd.), wiec nie
jest to jakies skrajnie trudne.

Kiedy wlasnie ta pierwsza czesc jest dzis latwa, a od jakosci
optymalizatora zalezy jakosc kompilatora :-)

Problem NP-zupelny nie jest nierozwiazywalny ! Rejestrow
jest za zwyczaj malo, wiec da sie wyliczyc w sensownym czasie.

Na pececie 8, wiec zadanie trywialne, ale na prockach z 16 albo
32 rejestrami... w sensownym czasie, powiadasz? :o)))

Ale one sa wymienne, tzn rownouprawnione ..

J.


Poprzedni Następny
Wiadomość
Spis treści
From: Marek Lewandowski <nospamabuse_at_nospam_poczta.onet.pl>
Subject: Re: Jaki jest najlepszy program do syntezy VHDL
Date: Mon, 11 Nov 2002 03:36:42 +0100


Piotr Wyderski wrote:


Taki, żeby to samo poszło bez zmian skompilowane pod Windę i pod Linuxa.

To wymaganie dotyczy kompilowanego programu, a nie kompilatora. :-)

Zaraz. Sprawę zaczęło stwierdzenia o kompilatorze VHDL, że pisze się pod
konkretną kość, żeby było optymalnie. Kontrargument, że w C można to
zarobić tak, że jest optymalnie zawsze, właśnie upadł, czego ja
próbowałem dowieść, więc miło, że się zgadzasz...


--
Marek Lewandowski ICQ# 10139051/GG# 154441
locustXpoczta|onet|pl
http://locust.republika.pl
[! Odpowiadaj pod cytatem. Tnij cytaty. Podpisuj posty. !]

Poprzedni Następny
Wiadomość
Spis treści
From: "jerry1111" <jerry1111_at_nospam_wp.pl>
Subject: Re: Jaki jest najlepszy program do syntezy VHDL
Date: Mon, 11 Nov 2002 12:27:37 +0100


Zaraz. Sprawę zaczęło stwierdzenia o kompilatorze VHDL, że pisze się pod
konkretną kość, żeby było optymalnie. Kontrargument, że w C można to
zarobić tak, że jest optymalnie zawsze, właśnie upadł, czego ja
próbowałem dowieść, więc miło, że się zgadzasz...

Poza tym C to zly przyklad - lepszy bylby IMHO assembler.
W zasadzie kazdy procek ma rejestry, stos, itp.
I jakby zunifikowac mnemoniki (np. jak w odmianach gcc)
to asemblery bylyby prawie takie same.
'Prawie' - i tak samo jest z VHDLem.

Zreszta zaczynamy dyskutowac o wyzszosci swiat Wielkanocnych...


jerry



Poprzedni Następny
Wiadomość
Spis treści
From: "jerry1111" <jerry1111_at_nospam_wp.pl>
Subject: Re: Jaki jest najlepszy program do syntezy VHDL
Date: Tue, 5 Nov 2002 23:42:03 +0100


Jak to, przeciez po to powstal VHDL wyzszy jezyk (abstrakcji) aby zachować
przenoszalność czyli aby wprowadzic abstrakcje a nie logike bramkową?

Ale oprocz makrokomorek w fpga danego producenta masz
na przyklad hardwarowy mnoznik 32bity*32bity - a u innego
producenta masz na kosci dostawione 500kbit dpramu.
O to chodzi, bo konstrukcje podstawowe czyli nie uzywajace
elementow specyficznych dla danej kosci/producenta sa
w wiekszosci przenaszalne. Tylko w zaleznosci od np. rozwijania
carry-chain do roznych kosci pisze sie specjalnie
zoptymalizowane komponenty (tzw. black-boxy) ktore
na innej kosci moga sie nie 'skompilowac' ze wzgledu
wlasnie na 'innosc' jakichs mozliwosci polaczenia.

C++ najlepszy moze kompilowac i nie ma znaczenia na co, czy na Motorole czy
na Intela czy Win-a czy Linux-a)

Jakto nie ma? Aplikacja dla winapi NIE SKOMPILUJE
sie jak bedziesz chcial tam wsadzic Qt. Program bedzie
wymagal troche zmian - tak samo w fpga.

Aha - ja uzywam QuartusII
tzn. do czego on jest, bo nizej napisales ze do syntezy to leonardo to wiec
QuartusII co robi w takim razie?

Program w ktorym rysujesz schemat/kod vhdl, potem kompilujesz,
potem symulujesz i programujesz scalak.

i do syntezy Leonardo Spectrum.

Tylko synteza - wywoluje go wlasnie Quartus.

Programy sa do kosci Altery, ale Leonardo chyba jest
tez na Xilinxa.

jerry



Poprzedni Następny
Wiadomość
Spis treści
From: "jerry1111" <jerry1111_at_nospam_wp.pl>
Subject: Re: Jaki jest najlepszy program do syntezy VHDL
Date: Tue, 5 Nov 2002 23:53:00 +0100


w wiekszosci przenaszalne. Tylko w zaleznosci od np. rozwijania
carry-chain do roznych kosci pisze sie specjalnie
zoptymalizowane komponenty (tzw. black-boxy) ktore

Chodzi mi tu o jakies sumatory, multiplexery itp.
Czasami tez bardziej rozbudowane wynalazki.

jerry



Poprzedni Następny
Wiadomość
Spis treści
From: "Piotr Wyderski" <piotr.wyderskiREMOVE_at_nospam_hoga.pl>
Subject: Re: Jaki jest najlepszy program do syntezy VHDL
Date: Sat, 9 Nov 2002 13:42:44 +0100



Adam Ślosarski wrote:

Jak to, przeciez po to powstal VHDL wyzszy jezyk (abstrakcji) aby zachować
przenoszalność czyli aby wprowadzic abstrakcje a nie logike bramkową?
Dlaczego tak jest, tak słyszę że ten jest lepszy z tymi koscmi a tamten z

tamtymi (moim zdaniem kompilator to powinien byc niezalezny czyli
kompilator
C++ najlepszy moze kompilowac i nie ma znaczenia na co, czy na Motorole
czy
na Intela czy Win-a czy Linux-a)

Miedzy systemami faktycznie nie ma roznicy, ale jesli chodzi o platforme,
to zacznijmy od rzeczy najprostszych: kolejnosc bitow w bajcie i bajtow
w slowie. :-) Jesli przeanalizowac draft standardu C++ pod katem czestosci
wystepowania slow, to na pierwszych miejscach powinny znalezc sie
"undefined" oraz "unspecified". :-)))

Pozdrawiam
Piotr Wyderski



Poprzedni Następny
Wiadomość
Spis treści
From: jfox_at_nospam_poczta.onet.pl (J.F.)
Subject: Re: Jaki jest najlepszy program do syntezy VHDL
Date: Sun, 10 Nov 2002 10:06:27 GMT


On Sat, 9 Nov 2002 13:42:44 +0100, Piotr Wyderski wrote:
Miedzy systemami faktycznie nie ma roznicy, ale jesli chodzi o platforme,
to zacznijmy od rzeczy najprostszych: kolejnosc bitow w bajcie i bajtow
w slowie. :-) Jesli przeanalizowac draft standardu C++ pod katem czestosci
wystepowania slow, to na pierwszych miejscach powinny znalezc sie
"undefined" oraz "unspecified". :-)))

Zauwaz ze to tylko znaczy iz programiscie nie wolno sie sugerowac
jak to wyglada na jego maszynie. Poprawnie [zgodnie z standardami]
napisany program bedzie sie wiec poprawnie kompilowal na roznych
maszynach ..

J.


Poprzedni Następny
Wiadomość
Spis treści
From: "Piotr Wyderski" <piotr.wyderskiREMOVE_at_nospam_hoga.pl>
Subject: Re: Jaki jest najlepszy program do syntezy VHDL
Date: Sun, 10 Nov 2002 12:31:53 +0100



J.F. wrote:

Zauwaz ze to tylko znaczy iz programiscie nie wolno sie sugerowac
jak to wyglada na jego maszynie. Poprawnie [zgodnie z standardami]
napisany program bedzie sie wiec poprawnie kompilowal na roznych
maszynach ..

Tylko w sporej liczbie przypadkow programista musi wiedziec
jak to wyglada na jego maszynie, bo bez tego nie zrobi wydajnego
kodu (albo w ogole go nie zrobi). I wtedy dochodzimy do drzewka
#ifdefow -- przenosnosc jak diabli. :-) Pomijajac juz fakt, ze rozne
maszyny zwykle nie zapewniaja takich bibliotek, jakich nam potrzeba,
poza niezbednym minimum, ktore tak naprawde do niczego nie
wystarcza (poza pisaniem ultraprzenosnego "Hello world!"). Ale
to juz nie jest sprawa kompilatora.

Pozdrawiam
Piotr Wyderski