VHDL problem



Masz problem? Zapytaj na forum elektroda.pl

Poprzedni Następny
Wiadomość
Spis treści
From: "Pawel" <p_f_at_nospam_poczta.onet.pl>
Subject: VHDL problem
Date: Wed, 26 Dec 2001 16:02:04 +0100


Witam
Moze ktos mi podpowiedziec jak zrealizowac przy pomocy VHDL'a 8-bitowy
rejestr przesuwny z rownoleglym wejsciem?
Rejestr ma 8 wejsc (A..H), sygnal zegarowy (CLK) i wyjscie Q.

Rozpoczalem tak:
entity \uklad\ is
port (
A: in STD_LOGIC;
B: in STD_LOGIC;
C: in STD_LOGIC;
D: in STD_LOGIC;
E: in STD_LOGIC;
F: in STD_LOGIC;
G: in STD_LOGIC;
H: in STD_LOGIC;
CLK: in STD_LOGIC;
QH: out STD_LOGIC
);
end \uklad\;

Ale dalej to juz moze ktos cos podpowie.

Pozdrawiam
Pawel




Poprzedni Następny
Wiadomość
Spis treści
From: "Szymon Aleksejew" <alszym_at_nospam_poczta.wprost.pl>
Subject: Re: VHDL problem
Date: Thu, 27 Dec 2001 16:37:25 +0100


Użytkownik "Pawel" <p_f_at_nospam_poczta.onet.pl> napisał w wiadomości
news:a0cork$arr$1_at_nospam_news.tpi.pl...
Witam
Moze ktos mi podpowiedziec jak zrealizowac przy pomocy VHDL'a 8-bitowy
rejestr przesuwny z rownoleglym wejsciem?
Rejestr ma 8 wejsc (A..H), sygnal zegarowy (CLK) i wyjscie Q.

Rozpoczalem tak:
entity \uklad\ is
port (
A: in STD_LOGIC;
B: in STD_LOGIC;
C: in STD_LOGIC;
D: in STD_LOGIC;
E: in STD_LOGIC;
F: in STD_LOGIC;
G: in STD_LOGIC;
H: in STD_LOGIC;
CLK: in STD_LOGIC;
QH: out STD_LOGIC
);
end \uklad\;

Ale dalej to juz moze ktos cos podpowie.

Pozdrawiam
Pawel


Napisalem cos takiego:

library IEEE;
use IEEE.std_logic_1164.all;

entity shifter is
port (
data: in STD_LOGIC_VECTOR (7 downto 0);
clk: in STD_LOGIC;
reset: in STD_LOGIC;
wpisz: in STD_LOGIC;
Q: out STD_LOGIC
);
end shifter;

architecture shifter of shifter is
signal datab : STD_LOGIC_VECTOR (7 downto 0);
begin

process(clk,reset)
begin
if reset='1' then
datab <= (others => '0');
elsif clk='1' and clk'event then
if wpisz='1' then
datab <= data;
else
datab(7 downto 1) <= datab(6 downto 0);
Q <= datab(7);
end if;
end if;
end process;

end shifter;

-------------------
pomoze?

pozdrawiam
Szymon Aleksejew






Poprzedni Następny
Wiadomość
Spis treści
From: "Pawel" <p_f_at_nospam_poczta.onet.pl>
Subject: Re: VHDL problem
Date: Thu, 27 Dec 2001 17:09:03 +0100


pomoze?

Tak, pomoglo

Dzieki